Document Type

Conference Proceeding

Language

eng

Format of Original

6 p.

Publication Date

7-20-2015

Publisher

Institute of Electrical and Electronics Engineers (IEEE)

Source Publication

2015 International Conference on High Performance Computing & Simulation (HPCS)

Source ISSN

978-1-4673-7812-3

Abstract

We investigate dynamic voltage and frequency scaling (DVFS) as a mechanism for dynamic reliability management (DRM) of chip multiprocessors (CMPs). The proposed DRM scheme operates as a control technique whose objective is to drive the operation of the CMP such that reliability changes towards a desired target. While the chip multiprocessor is continuously monitored and reliability is estimated in real time, the voltage and frequency of different cores in the CMP are dynamically adjusted such that reliability converges towards the target. When the temperature of cores increases and thus reliability degrades, the proposed DRM scheme throttles selectively the frequency of the cores with the highest temperature. This is turn, leads to a lower power dissipation in those cores whose temperature decreases, thereby improving reliability. We leverage existing simulation and estimation tools to develop the proposed DRM scheme. Simulations results show that the proposed DRM scheme provides an effective way to tradeoff reliability and performance.

Comments

Accepted version. Published as part of the proceedings of the 2015 International Conference on High Performance Computing & Simulation (HPCS), 2015: 563-568. DOI. © 2015 The Institute of Electrical and Electronics Engineers. Used with permission.

ababei_8537acc.docx (196 kB)
ADA Accessible Version

Share

COinS